diff --git a/modules/compiler_vm/compiler_watchdog.pl b/modules/compiler_vm/compiler_watchdog.pl index c4cdc28b..af6cd05e 100755 --- a/modules/compiler_vm/compiler_watchdog.pl +++ b/modules/compiler_vm/compiler_watchdog.pl @@ -76,7 +76,7 @@ sub execute { $bracket--; if($bracket == 0 and not $main_ended) { - $break = $line_number; + $break = $line_number - 1; $main_ended = 1; last; }